什么是光刻技术,为什么对芯片制造至关重要?

[复制链接]
草鞋林 发表于 2023-9-12 09:58:15|来自:中国 | 显示全部楼层 |阅读模式
芯片相关问题备受关注,大家也经常提到了制造芯片的光刻机,到底什么是光刻技术,为什么光刻技术对芯片制造至关重要?
谢谢各位普及~
全部回复5 显示全部楼层
zxzh0003 发表于 2023-9-12 09:58:26|来自:中国 | 显示全部楼层
一、光刻工艺流程




光刻工艺

二、曝光

1、接触式曝光

定义:将掩模版直接与涂有光刻胶的晶圆接触进行曝光
优点:曝光设备的光学系统简单、价格低廉
缺点:掩模版与涂有光刻胶的硅片直接接触,晶圆上的灰尘粘到掩模版及硅片
2、缩小投影曝光

定义:使用光学系统将掩模版图案缩小到复制到晶圆上(多次曝光)
3、分辨率(Resolution)


λ是曝光光源波长,NA是投影透镜的数值孔径,k是工艺参数因子
提高分辨率的方法:① 使用λ较短的光源;② 对镜头系统进行改进,增大NA;③ 对光刻工艺进行改进,提升k因子
4、聚焦深度(DOF)


聚焦深度较大时,在焦深范围内,即使晶圆表面有台阶,在台阶上下转移图形时也不会有差异。
减小λ,增大NA时,DOF变小,因此如果提高分辨率,聚焦深度将会变小,这是具有矛盾性的。
5、曝光光源历史

G-line 436nm→I-line 365nm→KrF 248nm→ArF 193nm→ArF浸液→ArF浸液+DP(两次图形)→EUV
三、光刻胶

1、分类

正性光刻胶:光照射不到的地方留下图形
负性光刻胶:光照射到的地方留下图形
2、感光机理

正性光刻胶由重氮萘醌与酚醛树脂结合而成,光照射后氮气脱离,变成酮结构,用碱性水溶液显影变成水溶性羧酸,然后被去除,没有被光照射的区域留下图形。
负性光刻胶由感光材料+聚合物组合,光照射后进一步聚合,不溶于作为显影液的有机溶剂,即光照射区域保留图形。
:负性光刻胶发生的是聚合反应,在分辨率方面处于劣势,在先进工艺中常用正性光刻胶
3、要求

中短波长段的可见光可使得光刻胶感光,因此光刻区域与普通洁净室是隔离开的,采用不会使光刻胶感光的照明光源,工作时称为黄光区。
4、涂布工艺

转速越高,薄膜厚度越薄;光刻胶黏度越高,薄膜厚度越厚。
晶圆边缘会出现膜稍厚的部分,为边缘堆积→边缘冲洗时,还要进行背面冲洗,防止光刻胶倒流到晶圆背面。
四、显影工艺

正性光刻胶→使用氢氧化铵显影液溶解被光照射的部分
负性光刻胶→使用二甲苯、乙酸丁酯显影液去除没有发生光聚合反应的部分
五、灰化(去胶)工艺

机理:灰化工艺是产生氧等离子体,等离子体中的氧自由基使光刻胶的有机成分燃烧



灰化(去胶)工艺


六、浸液曝光技术

1、背景

曝光光源的波长不断变短,在ArF(193nm)时达到极限;后ArF时代,讨论F2激光(157nm),但实用性困难。
2、原理

浸液曝光实质是提高NA。在晶圆和透镜之间充满水,光遵循折射定律NA=n·sinθ,波长为193nm时,纯水折射率n约为1.44,θ>70°,则NA>1。


七、双重图形技术

1、定义

双重图形是进行两次曝光的复制微细图形的技术,通过两次曝光来提高一次曝光所能达到的分辨率(第一次曝光是在硬掩膜上复制图形,第二次曝光是在光刻胶上复制最小线宽图案,从而在同一间距上形成2倍数量的图形的方法),但工艺明显变复杂,成本增加。



双重图形曝光技术

2、自对准双重成像技术 SADP

自对准双重成像技术(self-aligned double patterning, SADP),思路是在一次光刻完成后,相继使用非光刻工艺步骤(薄膜沉积、刻蚀等)实现对光刻图形的空间倍频,最后使用另外一次光刻和刻蚀把多余的图形去掉。
工艺流程:在衬底表面沉积一层牺牲材料(sacrifice layer)(CVD材料)→进行光刻和刻蚀,将掩模上的图形转移到牺牲材料层上,牺牲材料层上的图形称为mandrel或core→使用原子层沉积技术(ALD)在mandrel的表面和侧面沉积一层厚度相对比较均匀的薄膜(spacer材料)→使用RIE工艺将沉积的spacer材料再刻蚀掉,称为etch back [由于mandrel侧壁的几何效应,沉积在图形两侧的材料会残留下来,形成spacer,使用选择性强的腐蚀液把mandrel去掉,留下spacer在衬底表面,spacer图形的周期是光刻图形的一半,实现了空间图形密度的倍增]→使用RIE工艺把spacer图形转移到衬底里的硬掩模上[侧壁成像工艺(sidewall imaging process,SIP)]



自对准双重成像技术 SADP

3、EUV

极紫外线(EUV)使用与传统曝光技术相比波长显著缩短的光源(13.5nm)
最大的不同是这个波长范围内透射型镜头的缩小光学系统不能使用,使用的是反射镜的缩小光学系统,使用多个非球面镜的反射光学系统将EUV光源反射到掩模版上,在晶圆在形成图形。
八、纳米压印技术(NIP)

1、定义

一种将模具压在树脂上形成图案的方法
2、工艺流程

:预加热使其具有可塑性
:按压透光模具,用光照射使其固化




3、分类

热纳米压印:将转移材料通过加热使聚合物变形,并转移模具形状
光纳米压印:用光固化材料进行图形转移
<hr/>可关注我索要完整版半导体制造工艺pdf手写笔记。















lovess217 发表于 2023-9-12 09:58:54|来自:中国 | 显示全部楼层
(1)什么是光刻?
光刻技术最早于1958年开始应用,并实现了平面晶体管的制作。光刻是IC制程中最重要的模块,是集成电路中关键的工艺技术最早的构想来源于印刷技术中的照相制版。
光刻的过程是在硅片表面匀胶,然后将掩模版上的图形转移到光刻胶上的过程,是将器件或电路结构临时“复制”到硅片上的过程。
光刻在整个硅片加工成本中几乎占三分之一。
光刻占40%到50%的流片时间,它决定晶圆最小特征尺寸。
半导体硅片在进行光刻之前有一系列清洗、涂光刻胶等过程,光刻后还有显影、清洗等工艺,将光刻工艺进行细化,来深入了解光刻工艺:
(a)硅片清洗与烘干
在硅片进行光刻前,第一步需对硅片进行清洗,去除硅片上的沾污,去除微粒,减少针孔和其他缺陷,提高光刻胶黏附性。
硅片的清洗过程和日常的洗碗一样,泡进清洗溶液,沥干,然后在将表面的溶液烘干。


硅片在清洗后将表面吸附水烘干,去除硅片表面的水份提高光刻胶与表面的黏附性,通常烘烤温度在100°C。
硅片烘干和前处理可防止显影时光刻胶脱离硅片表面,烘干后的硅片在冷却平板上冷却。


(b)匀胶
硅片匀胶的过程是将硅片吸附在真空卡盘上,然后液态的光刻胶滴在硅片的中心,卡盘旋转,离心力的作用下光刻胶扩散开,卡盘高速旋转,光刻胶均匀地覆盖硅片表面。
下图是匀胶机的大致结构及光刻胶的匀胶过程:




需要提一下的是,光刻胶可以分为正胶和负胶。如果将光刻比喻成在一块石碑上刻文字的话,文字可以阳刻,也可以阴刻。光刻胶的正胶和负胶就是这个功能。


(c)涂胶后去边(EBR)
在硅片涂胶后,光刻胶扩散到硅片的边缘和背面,在机械搬送过程中光刻胶可能回剥落成为微粒。
下图是光刻胶去边示意图:


(d)匀胶后烘烤
匀胶后的烘烤是使光刻胶中的大部分溶剂蒸发。
光刻胶中的溶剂帮助得到薄的光刻胶膜但是吸收光且影响黏附性。
下图是不同的烘烤设备对硅片的烘烤,不论设备结构如何变,用途都是一样,像烤红薯一样将硅片和光刻胶烘干。
烘烤后的硅片在冷却板上冷却到环境温度。


(e)对准和曝光
对准和曝光就是实质上的光刻了。
光刻过程是使用UV光源,通过一有图案的掩模版对晶片进行曝光,下图(d)。
被光刻胶覆盖的晶片在其曝光区域将依据光刻胶的型态进行化学反应,而被暴露在光线中的光刻胶会进行聚合反应(polymerized),且在刻蚀剂中不易去除。聚合物区域在晶片放进显影剂(developer)后仍然存在,而未被曝光区域(在不透明掩模版区域之下)会溶解并被洗去。


(f)显影
光刻后的显影工序是使用显影液溶解部分光刻胶,正胶显影液通常使用弱碱性的溶剂,最常用的是四甲基氢铵,将掩膜上的图形转移到光刻胶上。
下图是光刻和显影的示意图:



硅片的显影方式主要分为浸入式和旋转式。
浸入式是将整个硅片放置于显影液中。


旋转式显影,是将硅片放置于显影设备上,采用设备进行硅片显影。




下图是显影后的常规缺陷,包括显影不足、过渡显影等。



下图 (a)显示显影后的晶片。再次将晶片于120℃~180℃之间烘烤20min,以加强对衬底的附着力和即将进行的刻蚀步骤的抗蚀能力。然后,使用缓冲氢氟酸作酸刻蚀液来移除没有被光刻胶保护的二氧化硅表面[图(b)]。最后,使用化学溶剂或等离子体氧化系统剥离(stripped)光刻胶。下图(c)显示光刻步骤之后,没有氧化层区域(一个窗户)的最终结果。


文字描述了这么多,可以通过下面这张图片来了解整个光刻过程:

单行道 发表于 2023-9-12 09:59:07|来自:中国 | 显示全部楼层

过去50多年里,半导体产业一直沿着摩尔定律向前发展,芯片工艺节点先后跨越了90nm、45nm、28nm、14nm,如今7nm和5nm已经实现量产,3nm和2nm是现在业界努力的方向,在这个不断演进的过程中,以光刻为基础的图形化工艺在其中扮演着至关重要的角色。光刻是芯片制造过程中最重要、最复杂、最昂贵的工艺。其精密度决定了芯片的制程,以及器件性能。
目前实现14nm工艺节点中的关键结构最常用的工艺是193nm沉浸式光刻结合自对准双图形(SADP)技术,但对于7nm及以下节点SADP技术已无法满足要求,必须采用四重甚至八重图形技术,这将导致成本大幅增加,而且掩膜版之间的套刻精度也难以控制。为此,学术界和工业界开始探索下一代光刻技术的解决方案。2020年国际器件与系统路线图(IRDS)将EUV光刻、定向自组装(DSA)和纳米压印光刻(NIL)列为下一代光刻技术的主要候选方案。EUV光刻我们都有所了解或者比较熟悉些,本文我们将探讨下半导体工艺技术中的另一个研发热点DSA。
DSA先进光刻技术重回历史舞台?

定向自组装(DSA)并不是一项新技术,早在2007年它就作为潜在的光刻解决方案登上了旧的国际半导体技术路线图 (ITRS)。2010年左右,业界开始对自下而上图案化方法定向自组装(DSA)技术产生兴趣,甚至还引起了一番研究热潮。世界知名的代工厂如台积电、三星、英特尔、GlobalFoundries等都在自家实验室探索DSA,因为它有望解决先进光刻技术中的许多成本和复杂性问题。
但好景不长,随着业界的不断探索,他们发现这些材料容易出现缺陷。DSA材料的贴装精度也很难控制。因此,考虑到这些问题,芯片制造商便转向在晶圆厂中采用更熟悉的多重图案化技术,例如自对准双/四图案化 (SADP/SAQP)。而事实证明,没有一种光刻技术可以满足当前和未来的所有需求,SADP/SAQP也逐渐受到了挑战。因此,随着3nm到5nm光刻设备的讨论,DSA作为补充技术或将占有一席之地。
多位业内消息人士称,英特尔继续对DSA抱有浓厚的兴趣,而其他芯片制造商正在重新审视该技术。此外,一年一度的SPIE先进光刻会议,自2012年起就为嵌段共聚物DSA光刻技术设立了分论坛,供来自世界一流的企业、研究机构以及高校的相关研究者在一起进行分享、交流和讨论DSA光刻技术最新的进展与未来发展方向。由此可见,工业界对该技术高度重视并寄予厚望。
需要知道的是,DSA本身并不是一种工具技术,这是一种互补的图案化方法,可使用嵌段共聚物实现精细间距和预定义的图案。它是一种“自下而上”的光刻技术,而EUV光刻是“自上而下”。DSA能够突破传统光学光刻的衍射极限。
5nm之后,工具和技术的结合或将是产业关注的一个方向。将DSA光刻与传统的“自上而下”的EUV光刻相结合,可以提高现有光刻工艺(例如自对准四重图案化或 SAQP)的分辨率、修复图形缺陷和改善关键结构的特征尺寸均匀性,从而产生更高密度的半导体器件。此外,DSA光刻还有望能将芯片制程推进到3nm甚至更小的技术节点。
DSA的研究进展 #Human Progress

现在DSA正在取得显著进展,包括英特尔、IBM、三星等国际知名半导体企业以及IMEC、CEA-Leti 等研究机构以及开始针对DSA光刻技术开展了系统性的研究和产业化尝试,他们在工艺开发、整合、器件应用等方面为之努力。多个研究机构都建立了300mm晶圆DSA先导线,已经有大量的研究结果显示DSA光刻在300mm晶圆先导线上展示了优异的性能,,这也为DSA光刻技术走向工业化生产迈出了重要的一步。
DSA光刻技术能够取得快速的进步与嵌段共聚物材料的发展密切相关。目前,嵌段共聚物PS-b-PMMA已成为DSA领域的“黄金标准”,PS是非极性聚合物,而PMMA属于极性聚合物。它的最小周期为22nm,用于分子自组装的机理探究以及工艺摸索,PS-b-PMMA为DSA进入工业化生产提供了强有力的理论支持与技术指导。
2016年,台积电研究团队以柱状相PS-b-PMMA为材料,采用物理外延法DSA光刻技术制备了接触孔,并对接触孔的缺陷进行了深入研究。2019年,imec基于PS-b-PMMA嵌段共聚物的DSA,生成具有低且稳定的缺陷率(即桥和位错)的28 nm节距线/空间图案。
然而,PS-b-PMMA的χ值较小(χ为两种聚合物之间的弗洛里—哈金斯相互作用参数),无法满足当前集成电路制造中10nm及以下特征尺寸的需求。所以为了解决工艺节点的不断发展,如更先进的7nm/5nm/3nm等,学术界也聚焦于合成高χ值的嵌段共聚物,如PS-b-PPC、PS-b-P2VP、PS-b-P4VP、PS-b-PAA等。这些高χ值材料经微相分离后形成的图形特征尺寸均在10nm以下,可以很好地满足目前集成电路制造的需求。
在2021年的SPIE高级光刻会议上,imec 首次展示了定向自组装 (DSA) 的能力,使用高χ值嵌段共聚物材料制备了周期为18nm的线条光栅阵列结构,他们与TEL切合作开发的定制干法蚀刻化学物质允许将18 nm线/空间图案成功转移到足够深的 SiN 层中,以进行后续缺陷检查,而不会出现明显的线摆动或线塌陷。这些结果证实了DSA有潜力补充用于亚 2 纳米技术节点的工业制造的传统自上而下图案化。



在高χDSA 和随后蚀刻到目标 SiN 层后,18nm 线/空间图案的自上而下(左)和横截面(右)SEM 图像。来源:IMEC

“近年来,DSA 吸引了大量的工业兴趣,已经发展成为一个由大学、计量学家、材料和设备供应商组成的宝贵生态系统。我们的 DSA 生态系统是我们迄今为止取得的成果的关键,”imec的高级图案化工艺和材料副总裁Steven Scheer说。imec的DSA材料的合作伙伴包括德国的Merck、美国的Brewer Science、东京电子等等。
更重要的是,2021年TEL研究团队报道了基于嵌段共聚物DSA光刻技术对化学图案上的缺陷具有一定的修复能力。嵌段共聚物为有机材料,它具有一定的柔性与可压缩性,因此对化学图案上的缺陷存在一定的容忍度。
德国的默克在2015年就已经开始试产电子级纯度的DSA材料,为 DSA光刻技术从实验室走向工业化制造不懈努力。“这项革命性技术有望彻底改变半导体制造工艺,并将加快下一代构图应用的引入。”默克半导体解决方案全球负责人Anand Nambiar表示。2020年9月,默克在德国正式开设了新的电子应用研究中心,将致力于下一代显示和半导体材料的研发活动,其中半导体材料包括光刻胶材料、电介质和DSA材料。2021年4月,默克宣布投资2000万欧元将扩大其在日本的研发和制造基地,将建设新的基础设施,以推动和加速电子材料的创新,这个工厂所开发和制造的就包括DSA材料。
许多研究机构已经意识到DSA的优势,并希望将其应用于微电子制造中。目前,基于嵌段共聚物的DSA光刻技术已经被用于制造各种半导体器件,如鳍式场效应晶体管(FinFET)、存储器、位元图案介质和光子器件等。在SPIE上发表的一篇论文中,也指出了DSA应用于DRAM的可能性。
DSA工业化面临的挑战

DSA光刻技术应用于工业化主要分大两步,首先是采用“自上而下”的光刻工艺制备引导图形。然后,嵌段共聚物分子在制备的引导图形上进行自组装。目前进行自组装的研究已经颇多,此前一直困扰DSA光刻的缺陷问题也逐渐控制到半导体行业所能接受的范围。并已经在300mm晶圆DSA先导线上进行了实践,证明了其进入工业化的可行性。
问题主要在引导图形上,目前关于DSA图形化工艺的计算光刻以及EDA研究非常少,这是DSA光刻工业化中所面临的最大挑战。因为在实际芯片制造中,其版图非常复杂,并不是简单的规则图形。IBM研究团队提出在芯片制造中融入DSA工艺,开发一套计算光刻工具,实现设计工艺协同优化,形成材料、设备、工艺、计算光刻、仿真模拟和EDA的完整产业链,推动DSA光刻技术真正进入工业化生产。
当然,嵌段共聚物DSA光刻技术进入工业生产,还需对DSA工艺、材料以及与现有半导体产线的兼容性问题进行全面了解。工艺方面,需要选择合适的设备,优化工艺条件,以实现高通量制造;材料方面,要保证嵌段共聚物的批量化生产、电子级纯度以及稳定性。此外,还需采用先进的设备对缺陷进行检测和分析。
任何新技术在工业化的道路上都是漫长且崎岖的,EUV光刻技术也是经历几十多年的发展,DSA这项光刻技术无疑也将面临一些波折。不过DSA这项革新的自下而上的图形形成方法以及在成本上的节约,将继续支撑DSA研究者们的热情。
内容来源:半导体行业观察


文末多说一句,有想了解仪器设备、试剂耗材的相关标讯、需求、采购意向和重大商情的朋友们可以点击下方卡片看看哟!!!
https://www.17m17.com/
huanjie123 发表于 2023-9-12 09:59:28|来自:中国 | 显示全部楼层
近日,第四届国际先进光刻技术研讨会,简称IWAPS 2020会议在成都成功举办。来自世界各地共500余名技术专家和学者参加了本届大会,就先进节点的计算光刻技术、SMO、DTCO、EUV、工艺、量测、Deep Learning、光刻设备、材料等主题分享了各自的研究成果,探讨了图形化解决方案,研讨了即将面临的技术挑战,分享者包括来自ASML、Nikon、Canon这三大光刻机主要提供商的技术和领导层。

当摩尔定律发展到工艺节点5nm的时候,继续简单粗暴地缩小特征尺寸变得越来越困难。那么接下来集成电路何去何从呢?我们的技术专家和学者们给出了三种方案方向:“More Moore”、“More than Moore”、“Beyond CMOS”,即深度摩尔、超越摩尔与新器件。

厦门大学嘉庚创新实验室科技总监Mark Neisser告诉我们的记者,“目前像手机、车载、物联网等非常多的应用场景下,我们除了要追求深度摩尔定律下的特征尺寸极致化外,目前更大的趋势是追求功能的多样化,比如通过封装技术实现手机多种传感器的集成,从而增加人脸识别功能等等。”

卡主脖子的何止光刻机?




图源 | ASML

“光刻技术作为集成电路制造中最复杂、最关键的工艺,面临内部“短板”和外部封锁等困难,您如何看待该现象?”

当我们的记者提问中国光学学会秘书长,浙江大学教授刘旭时,他表示,“光刻机被老百姓广泛关注,既是好事也不是好事。因为没有光刻机,我们做不出图案来,确确实实是集成电路中很重要的一个环节,但实际上它并不是制约我们集成电路发展的唯一因素。就好比前一阶段日本与韩国的经济纠纷,其焦点就是材料——光刻胶及集成芯片化学试剂。而目前有非常多的芯片其实是用不到7nm这样的顶级工艺,比如物联网、笔记本、计算机、服务器等领域的芯片,因此我们不能把目光过度集中在7nm光刻机这一件事情上。”

“具体到光刻机的问题,我们国家02专项里面已经进行了部署,自主研制的DUV光刻设备也已在生产。按照芯片制造工艺的等级划分,50nm以下光刻机的研发基本实现了。而今天我们这个会议更重要的目的并不是要做出更高等级的光刻机,而是讨论如何在193nm 的DUV光刻机的条件下,将40nm+变成14nm的工艺技术,也就是所谓的超越摩尔定律的工艺制备技术。今天,我们将这个会议定义为Patterning Solutions,也就是利用曝光图形设计与曝光工艺技术来超越当前光刻机的分辨率极限,也是出于这方面的考虑。” 刘旭补充道。

如何用DUV做出从50nm到14nm的突破




图源 | fabsurplus.com

光刻机:光源、镜头与控制系统
目前,市面上比较成熟的光刻机根据光源不同,可分为紫外光源(UV)、深紫外光源(DUV)和极紫外光源(EUV)。中国在用的最先进的光刻机就是采用ArF光源的DUV光刻机,我们知道最初的ArF光刻机采用的是干式光刻法,光源波长为193nm,匹配130-65nm级芯片工艺节点。随着摩尔定律的发展,又发展至浸没式ArF光刻,这里的浸没式指的是镜头和硅片之间的空间浸没在液体中,目前主流使用的是纯净水作浸没液,其折射率为1.44,因此等效波长便从193nm降到了134nm(193nm/1.14=134nm),分辨率也因此提高了,工艺节点向45-22nm迈进。同样是在摩尔定律的推动下,我们的芯片制程需求开始往14nm、10nm、7nm,甚至5nm发展,ArF光刻机已不能满足其要求,EUV光刻机,也就是我们常说的第五代光刻机应运而生。

如果我们将光刻机粗略分为光源、镜头和精密控制系统,而今天我们又是通过光源来区分光刻机种类的,那么这里不妨从光源开始谈起。

我们知道光源是光刻机非常重要的组成部分,目前全世界能够提供准分子激光光源的只有两家公司,分别为美国Cymer公司和日本Gigaphoton公司,前者已经被ASML全资收购。为何光刻机光源门槛会这么高?

来自日本Gigaphoton公司副总经理Toshihiro Oga表示,“首先,光刻机成像质量对光源的性能要求非常高,比如高对比度和纯度,并且高频、高功率的光源(目前Arf浸没式光刻机常用的是6kHz,120W光源)不是通用的,专业性非常强;其次是光源厂需要的投资非常大,市场却很窄,一般一年光刻机需要的光源也就在200个单元左右(80个用来做Arf浸没式光刻机,20个用来做Arf干式光刻机,其他的用来做Krf光刻机),而且在面对客户时既要为合作的Foundry厂考虑成本问题,又要保证良好的可靠性,确实是一个难题。其实在20年前,德国和美国有很多这样的激光光源公司,而今天在竞争之下只剩下了两家。而目前Gigaphoton除了在给ASML、佳能、尼康这三家光刻机公司提供光源外,也为上海微电子在供货。”




聊完光源,我们再来聊一下镜头和精密控制系统。
关于镜头,要想把镜片材质做到均匀,需几十年甚至上百年技术积淀。可以这么说,同样一个镜片,不同工人去磨,光洁度可能相差十倍。ASML的镜片有蔡司技术打底,中国的镜片产业发展亟需沉淀。

关于精密控制系统,随着半导体工艺的不断革新,误差控制已成为最关键和最具挑战性的部分。

来自HLMC华力微电子的Dongyu Xu表示,“高阶修正是一种作为抑制产品套刻精度的常见解决方案。而高阶修正往往需要在曝光区域使用更多的测量和更多的目标。此外,测量位置也会影响生成的套刻精度。由于技术的限制,基于图像的套刻精度(IBO)只能测量放置在器件周围划线处的光栅目标。目标在某些区域可能分布不均匀,部分区域甚至都无法放置。因此他们提出了一种利用CDSEM量测来补充IBO目标缺失位置的新方法。据测试,该方法可显著恢复IBO目标缺陷处的误校正,从而改善产品套刻精度。”




图源 | arcnl.nl

光刻工艺:光刻胶、BARC、清洗材料、掩膜、掩膜保护薄膜(pellicle)等
除了光刻机外,光刻技术在量产过程中还有很多问题需要解决,比如光刻胶、掩膜、掩膜保护薄膜(pellicle)。如果这些问题解决得好,就有可能实现DUV光刻机下从40nm、50nm到14nm的突破,那我们依次来谈一谈。

提到光刻胶,大家的第一反应可能是日本和韩国的半导体贸易摩擦,日本一卡,韩国就只能退步,可见光刻胶等光刻材料的重要性。厦门大学嘉庚创新实验室科技总监Mark Neisser表示,“当我们为了提高光刻机的产能,就不得不提高光刻胶被曝光的速度,但是一旦光刻胶曝光时间缩短,曝光反应的量就可能会较少,这时候就会引入随机效应,也就是噪音,那如何降低随机效应呢?这个时候我们就要提高光刻胶的精度,这对于材料的研究也是一个挑战。”

关于光掩膜,大家知道光掩膜其实是芯片制造中的一个模板,我们也称之为光罩,它是一种模具,就像我们小时候印照片的胶卷底片,但为了制造方便,光掩膜会变大4倍左右,然后再微缩到硅片上,实现芯片的小型化。

蔡司半导体光掩模解决方案销售及商务服务总监徐慕邓表示,“光掩模通常是用石英玻璃制造的,石英基板上有金属涂层,通过电子束曝光等工序,即可做成用来制造集成电路的掩模版。如果掩模版上面存在缺陷或者表面附有脏污的话,就会影响光刻时的成像,进而影响芯片良率,甚至废片。因此有时会给掩模板加一层保护薄膜(pellicle),防止灰尘等污染物掉到金属图形上去。”

蔡司在光掩模制造过程中的强项,在于其电子束修复机、光学影像模拟测量仪,这都是比较有竞争力的产品。“在光掩模的制造过程中,或多或少会有一些工艺缺陷,电子束修复机就是用于修复这些缺陷,以提高良品率。,电子束修复机的难点在于精度要求非常高。而光学影像模拟测量仪就好比光罩出厂前的最后认证,是用来模拟光刻机在wafer上曝光成像的,就使得光罩厂可以在不通过wafer print的情况下就能知道这片光罩的成像效果,这一点非常方便且重要。” 徐慕邓补充道。

无独有偶,来自ICRD的时雪龙在IWAPS 2020会上作了题为《快速准确的基于机器学习的反演光刻:使用基于物理的特征图和经过特殊设计的DCNN》的报告。时雪龙表示,“由于巨大的计算资源需求和较长的计算时间,反演光刻技术(Inverse lithography technology, ILT)的全芯片实现仍然是一项艰巨的任务。为了实现全芯片级别的反演光刻技术,他们提出了一种方法,能够将前几个基于物理学的特征图与经过特殊设计的深度卷积神经网络(DCNN)结构相结合。其测试结果表明,这种方法可以使基于机器学习的反演光刻变得更加容易、快速和准确。”




图源 | WCCFtech

光刻工艺相关设备:涂胶显影设备、清洗设备等
集成电路光刻工艺环节非常多,包括涂胶、光刻、显影、刻蚀、去胶、清洗、烘干等,因此也需要相应的光刻工艺设备来做支持,包括上面提到的光刻机,也包括涂胶显影设备、去胶设备和相关检测设备。其中涂胶显影设备是光刻工序中与光刻机配套使用的,具体又可分为涂胶、烘烤及显影设备。

来自芯源微的前道事业部总经理谢永刚告诉我们的记者,“目前半导体设备国产化是大势所趋,而目前芯源的适用于28nm工艺制程的抗反射层涂胶设备已经在国内某国际大厂中验证成功,投入量产。芯源微自主研发的和光刻机Scanner联机的高产能I线(365nm)涂胶显影设备Track,也正在某大厂进行验证中。深紫外线DUV Track预计2021年初运抵某客户现场开始验证。此外,单片清洗机(Wafer scrubber)作为芯源微新业务方向,目前增长趋势也很迅猛,以获得了国内几个大厂的批量订单。为了满足高端涂胶显影设备和清洗机需求的增长,芯源已着手新厂房的建设,新厂址厂房投入使用后可新增产值10亿元人民币以上。

应用趋势:3D集成电路




图源 | nano-di.com

三维集成作为集成电路的主要技术方向之一,越来越受到重视,会上非常多的专家、学者对其进行了研究和分享。

来自Stanford的H.-S. Philip Wong给我们介绍了如何实现拥有多个逻辑层和存储层的单片3D集成芯片,并阐述了还需要开发哪些新材料和器件技术,推测了如何将它们集成到未来的电子系统中,以及未来的3D集成电路需要怎样的技术支持。

来自YMTC长江存储的Dean Wu吴振国向我们指出,随着3D-NAND闪存芯片存储密度的不断增加,堆叠的层数和总高度也在不断增加,将会导致由于局部图形设计不同而产生的应力不匹配的问题变得更严重,同时还会带来更高深宽比刻蚀制程。其中局部应力不匹配将导致传统的切割道位置套刻误差(OVL)Mark不能再代表芯片内部的OVL,更高的深宽比蚀刻制程也将导致更严重的倾斜。

3D-NAND最具挑战性的制程就是高深宽比Memory hole的刻蚀,在叠加高度增加的过程中Memory hole的刻蚀工艺可能会达到一些很难突破的瓶颈,因此在3D-DAND制程中引入了两次Memory hole刻蚀的工艺,对于两次Memory hole刻蚀的工艺,下层和上层连接处的OVL是最关键的也是最大的挑战。

但不幸的是,应力和上层Memory hole的倾斜都会影响OVL测量。如何准确地测量连接位置的OVL是两次Memory hole刻蚀工艺的最关键的基本要求之一。会上他分享了一种光学测量方法,可以直接测量device pattern在连接位置处的OVL,其精度与SEM OVL相当,而且具有更快的量测速度。

写在最后
不论是光刻机还是其他卡脖子技术的跟进与另辟蹊径中的突破,归根结底还是人才的竞争。中国光学学会秘书长,浙江大学教授刘旭在谈到制约我国工业半导体材料、芯片、器件可持续发展的人才问题时提到,“一方面我们的大学需要全产业链的学科培养,这种新模式是符合现下所需的、解决卡脖子问题的紧缺人才快速培养法;但另一方面我们更要让大学的专业拓宽,让一级学科深入材料、物理等基础科学,为下一个科技周期培养储备人才。”

围观网友互动现场,请戳:

https://www.eefocus.com/component/477791
ss20052008 发表于 2023-9-12 10:00:20|来自:中国 | 显示全部楼层
光刻是将掩模版上的图形转移到涂有光致抗蚀剂(或称光刻胶)的硅片上,通过一系列生产步骤将硅片表面薄膜的特定部分除去的一种图形转移技术。光刻技术是借用照相技术、平板印刷技术的基础上发展起来的半导体关键工艺技术。
通俗易懂的说,集成电路制造,是要在几平方厘米的面积上,成批的制造出数以亿计的器件,而每个器件结构的也相当复杂,如图1所示。打个比方,这个规模相当于在一根头发丝的横截面积上制造几十上百万个这样的晶体管。有些类似于印刷术或者照相的技术,首选需要一个模具,然后想办法将模具上的图形结构转移到旋涂有光刻胶的基底上。然而由于要做的晶体管结构相当小,只有借用“无孔不入”的光来实现这一功能,这就是光刻技术,字面理解,就是用光来“雕刻”。



图1 一种集成电路结构剖面图

想象一下照相,物体反射的光线经过镜头,投影在底片上,然后底片上的感光材料发生变化,从而将物体“转移”到底片上,这是一个成像过程。光刻也类似,如图2所示,光源发出的光线照射在掩模版(前文说的模具)上,出射的光线已经携带了掩模版上的图形信息。掩模版就是在透明的基底(石英)上绘制出需要制作的图形结构,有图形的地方是透明的,没有图形的地方是遮光(金属铬)的,也可以反过来。携带掩模版图形信息的光线照射在旋涂有感光材料(光刻胶)的基底上,这一过程称为曝光,受到照射的位置光刻胶性质会发生改变,使其能够溶于碱性或者酸性溶液,这一过程称为显影。通过曝光和显影,掩模版上的图形就被转移到了光刻胶上,然后经过后续刻蚀或者薄膜淀积等工艺再将光刻胶上的图形转移到基底上。



图2 曝光系统简易图

根据曝光方式的不同,光刻机主要分为3种,接触式,接近式以及投影式,如图3所示。接触式光刻机是最简单的光刻机,曝光时,掩模压在涂有光刻胶的晶圆片上,优点是设备简单,分辨率高,没有衍射效应,缺点是掩模版与涂有光刻胶的晶圆片直接接触,每次接触都会在晶圆片和掩模版上产生缺陷,降低掩模版使用寿命,成品率低,不适合大规模生产。接近式光刻机掩模版与光刻胶间隔10~50μm,所以缺陷大大减少,优点是避免晶圆片与掩模直接接触,缺陷少,缺点是分辨率下降,存在衍射效应。而现今硅片光学曝光最主要的方法是投影式曝光,一般光学系统将掩模版上的图像缩小4x或5x倍,聚焦并与硅片上已有的图形对准后曝光,每次曝光一小部分,曝完一个图形后,硅片移动到下一个曝光位置继续对准曝光,这种方法有接触式的分辨率,但不产生缺陷。实际光刻机中的曝光系统复杂的多,如图4所示。



图3 不同曝光方式



图4 透镜光学系统

上面简述了光刻工艺的流程,在实际工艺中,一个芯片的产生要经历几十次光刻才能完成,有些结构层甚至需要多次光刻才能形成。光刻是芯片制造的核心,是IC制造的最关键步骤,在主流的微电子制造过程中,光刻是最复杂、昂贵和关键的工艺,其成本约占整个硅片加工成本的三分之一甚至更多。
说道芯片制造,不得不说摩尔定律,摩尔定律是由英特尔创始人之一戈登·摩尔于1965年提出来的。其内容为:集成电路上可容纳的电晶体(晶体管)数目,约每隔24个月便会增加一倍;经常被引用的“18个月”,是由英特尔首席执行官大卫·豪斯所说:预计18个月会将芯片的性能提高一倍(即更多的晶体管使其更快)。
摩尔定律的推动下,为了更高的新能,更低的功耗以及更低的成本,用于光刻的光源波长从436nm(G线,汞灯),405nm(H线,汞灯),365nm(I线,汞灯),248nm(DUV,汞灯KrF准分子激光),193nm(DUV,ArF),157nm(未使用),到目前最先进的13.5nm(EUV)。157nm的光源并未实际使用,而是由193nm浸没式光刻所替代(利用水的折射率大于空气的原理,如图5所示)。



图5 浸没式光刻原理

之所以要不断缩小波长,就是要提高光刻分辨率,光刻分辨率,就是指能清晰分辨出硅片上相隔很近的特征图形的能力,公式是R=kλ/NA,其中k代表工艺因子,λ表示波长,NA表示曝光系统的数值孔径。可以看出,要提高光刻分辨率,需要减小光源波长,或者增大数值孔径。光刻机曝光波长与透镜数值孔径发展趋势如下表所示,193nm波长干式光刻机的极限数值孔径为0.93,之后采取浸没式光刻,数值孔径增加到1.35。分辨率高了,做的结构体积就越小,性能和功耗自然就会改善,最重要的是,成本会更低(简单的理解,同样大小的硅晶圆上,可以生产更多的芯片)


摩尔定律的驱使下,芯片器件尺寸不断缩小,对工艺的要求越来越高,最大的瓶颈就是分辨率的提高,而光刻机的发展逐渐跟不上节奏了,更小波长的光刻机难以制造,因此出现了一系列分辨率增强技术,例如离轴照明、多级光源,光学临近效应修正,移相掩模,光源掩模协同优化,多重曝光,自对准多重光刻技术等,这些技术的出现,将摩尔定律硬生生延续了下来,当然,也有人在研究光刻技术的替代技术,例如纳米压印,DSA等。

快速回帖

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则